SMT, PCB Electronics Industry News

Asyst Launches IsoPort 300mm Load Port

Apr 09, 2002

Semiseek

Asyst Technologies, Inc. (Nasdaq:ASYT), a leading provider of integrated automation solutions that maximize productivity for the semiconductor manufacturing industry, today unveiled the latest addition to its family of leading-edge 300mm automation products -- the IsoPort(TM) 300mm load port. This next-generation 300mm load port breaks new ground in automated 300mm wafer handling through industry-leading interoperability and cost of ownership. It leverages Asyst's proven, pioneering leadership in automation technology to deliver a reliable, economical front-opening-unified-pod (FOUP) interface that the company believes is the industry's most advanced to date.

The IsoPort 300mm load port gives semiconductor tool original equipment manufacturers (OEMs) a highly configurable, automated wafer-handling solution for integration with their tools. The company believes that the IsoPort's many advanced benefits for both equipment makers and chipmakers will provide an additional competitive advantage in the 300mm tool automation market, which is expected to grow significantly faster than the overall equipment market -- as much as 50 percent annually -- during the next industry upturn. As has been widely reported, this rapid growth is being driven by the industry's transition to 300mm wafers as well as the ergonomic and economic necessities of automation because of larger, heavier wafer carriers and significantly increased yield risk. As a result, virtually all of the 300 tools in new 300mm fabs will require one or more automated load ports. Asyst's current share of the 300mm load port market is approximately 50 percent.

Jon Sabol, vice president of Asyst's Equipment Solutions Group, said, "As the 300mm market continues to ramp, our OEM customers are looking for highly configurable, reliable, low cost-of-ownership solutions that will enable them to provide easily integrated, complete automation solutions to meet end-users' requirements. We worked closely with leading OEMs to develop an innovative, custom-configurable load port design that would deliver best-in-class interoperability and cleanliness, together with full industry-spec compliance. Our new IsoPort is the result."

The IsoPort delivers a combination of technology features that provide superior performance at an optimal price. Its high degree of interoperability performance is achieved through its precise servo-controlled motion, smart latchkey design and optimized carrier sensing. Tool throughput is enhanced, with the time from carrier arrival to first-wafer access at a rapid eight seconds. Contamination control performance reaches new heights with conformance to the stringent ISO Class 1 particle level, which eliminates triboelectric charge buildup on the carrier door in the laminar flow path while in the open position. The IsoPort features optional gas purge ports that provide an inert ambient environment for wafers in the carrier on the load port, and the product's materials are resistant to corrosion from reactive process gases. Relative to earlier-generation products, IsoPort requires zero preventive maintenance, and adjustments have been simplified and/or eliminated. Its configurable design easily integrates all types of automated carrier identification solutions (RF, infrared or barcode), and it eliminates a pinch point problem common in other load ports today by reading any auto ID type in either the docked or undocked position.

Asyst's IsoPort 300mm load port is currently in beta-site testing. Production shipments are slated to begin in July 2002.

Except for statements of historical fact, the statements in this press release are forward-looking. Such statements are subject to a number of risks and uncertainties that could cause actual results to differ materially from the statements made. These factors include, but are not limited to, general economic conditions, semiconductor industry cycles, risks associated with the acceptance of new products and product capabilities and other factors more fully detailed in the Company's recent 10Q quarterly report on file with the Securities and Exchange Commission.

About Asyst

Asyst Technologies, Inc. is a leading provider of integrated automation solutions that enable semiconductor manufacturers to increase manufacturing productivity and protect investments in silicon wafers during the manufacture of integrated circuits, or ICs. The company offers a broad range of 200mm and 300mm solutions that enable the safe transfer of wafers and information between process equipment and the fab line throughout the IC fabrication process, while reducing IC damage caused by human, environmental, mechanical and chemical factors. Encompassing isolation systems, work-in-process materials management, substrate-handling robotics, automated transport and loading systems, and connectivity automation software, Asyst's modular, interoperable solutions allow chipmakers and original equipment manufacturers, or OEMs, to select and employ the value-assured, hands-off manufacturing capabilities that best suit their needs. Asyst's homepage is located at http://www.asyst.com.

Apr 23, 2024 -

New Energy Automotive: I.C.T.'s Conformal Coating Line Expertise in Mexico

Apr 22, 2024 -

ZESTRON Welcomes Whitlock Associates as new Addition to their Existing Rep Team in Florida

Apr 22, 2024 -

IPC Bestows Posthumous Hall of Fame Award to Industry Icon Michael Ford

Apr 22, 2024 -

Two Long-time IPC Volunteers Receive Dieter Bergman IPC Fellowship Award

Apr 22, 2024 -

Camera Microscopes: A Game Changer for Electronics Manufacturing

Apr 22, 2024 -

SMTXTRA Appoints MaRC Technologies as Representative for the Pacific Northwest

Apr 22, 2024 -

ZESTRON Welcomes Angela Marquez as Head of Business Unit, Latin America

Apr 22, 2024 -

Apollo Seiko's J CAT LYRA + ARC 5000 Goes Beyond Traditional Soldering at SMTA Wisconsin

Apr 22, 2024 -

SMTXTRA Partners with Kurt Whitlock Associates to Expand Presence in Florida

Apr 22, 2024 -

IMAPS & IPC to Host Onshoring Workshop April 29 – May 1, 2024, in Arlington, Virginia

See electronics manufacturing industry news »

Asyst Launches IsoPort 300mm Load Port news release has been viewed 1127 times

See Your 2024 IPC Certification Training Schedule for Eptac

Jade Series Selective Soldering Machines