MARCH Products | Nordson Electronics Solutions

MARCH Products is the global leader in plasma cleaning equipment and plasma processing technology for PCB manufacturing and semiconductor packaging. The company has designed and manufactured plasma equipment for 35+ years.

Manufacturer

MARCH Products, a part of the Nordson Electronics Solutions division, is the global leader in plasma cleaning and plasma surface treatment equipment, as well as plasma processing technology. We design and manufacture a complete line of plasma equipment, and maintain an expert staff of scientists and engineers focused on plasma cleaning. The company has designed and manufactured plasma surface treatment equipment for more than 35 years and has broad experience in the areas of printed circuit board manufacturing, advanced semiconductor packaging and assembly, wafer level packaging (WLP), life science & medical device assembly, and various large-scale industrial applications.

Nordson Electronics Solutions offers products to customers for plasma surface treatment, precision automated fluid dispensing, conformal coating, and selective soldering. Consisting of complementary product lines –MARCH, ASYMTEK, and SELECT – we deliver to semiconductor packaging, printed circuit board assembly, and other precision assembly operations. Our passion is helping customers take their processes further faster, with best-in-class technologies, dedicated global sales and support teams, and unmatched consultative applications expertise.

MARCH Products | Nordson Electronics Solutions Postings

5 products »

MARCH VIA Series Plasma Treatment

VIA Series plasma treatment systems offer superior plasma treatment uniformity for printed circuit board (PCB) panels.  The MaxVIA, MaxVIA-Plus, and ModVIA systems deliver various plasma cleaning, surface activation, and adhesion impr...

Surface Finish

MARCH VIA Series Plasma Treatment

MARCH StratoSPHERE Plasma Treatment System

Designed for wafer processing, Nordson MARCH's SPHERE™ series plasma systems, the StratoSPHERE offers superior plasma treatment for high-throughput advanced semiconductor packaging applications.  The StratoSPHERE plasma sy...

Surface Finish

MARCH StratoSPHERE Plasma Treatment System

FlexTRAK Series Plasma Treatment Systems

FlexTRAK plasma treatment systems offer high-throughput, strip-type, and inline boat processing for advanced semiconductor packaging applications. The FlexTRAK/FlexTRAK-S, FlexTRAK-CD/FlexTRAK-CDS, FlexTRAK-2MB, and FlexTRAK-SHS systems su...

Surface Finish

FlexTRAK Series Plasma Treatment Systems

AP Batch Series Plasma Treatment Equipment

The MARCH AP Batch Series system offers high-performance plasma treatment for batch manufacturing and R&D environments. The AP-300, AP-600, and AP-1000 plasma treatment systems suit various plasma cleaning, surface activation, and ad...

Surface Finish

AP Batch Series Plasma Treatment Equipment

ModVIA Plasma Treatment for Printed Circuit Board Assembly

VIA Series plasma treatment systems offer superior plasma treatment uniformity for printed circuit board (PCB) panels. The ModVIA is designed to process rigid and flexible PCB panels of various shapes and sizes for through-hole, blind via, etchbac...

Surface Finish

ModVIA Plasma Treatment for Printed Circuit Board Assembly

3 technical articles »

The Effects of Plasma Treatment Prior to Conformal Coating

Oct 06, 2021 | John D. Vanderford, Ann E. Paxton, and Dave Selestak

The corrosion of Nickel-Palladium-Gold (Ni-Pd-Au) finish terminals in humid environments is known to be reduced with the application of a conformal coating such as acrylic. Corrosion has a higher rate of occurrence around the terminal 'knee' of a surface mount component, which may be reduced with the application of conformal coatings. Although radio frequency (RF) plasma processing is generally known to enhance conformity of conformal coating to surfaces through ionic bombardment, the effect on the functionality of assembled printed circuit boards (PCB) is not as well known. The purpose of this study is to assess whether RF plasma processing can enhance the adhesive and coverage qualities of an acrylic conformal coating on PCBs...

Evaluating the Effects of Plasma Treatment prior to Conformal Coating on Electronic Assemblies to Enhance Conformity of Coverage

Jun 01, 2017 | John D. Vanderford, Ann E. Paxton - Desich SMART Center, Dave Selestak - Nordson MARCH

The corrosion of Nickel-Palladium-Gold (Ni-Pd-Au) finish terminals in humid environments is known to be reduced with the application of a conformal coating such as acrylic. Corrosion has a higher rate of occurrence around the terminal ‘knee’ of a surface mount component, which may be reduced with the application of conformal coatings. Although radio frequency (RF) plasma processing is generally known to enhance conformity of conformal coating to surfaces through ionic bombardment, the effect on the functionality of assembled printed circuit boards (PCB) is not as well known.

The purpose of this study is to assess whether RF plasma processing can enhance the adhesive and coverage qualities of an acrylic conformal coating on PCBs, specifically on Ni-Pd-Au terminals with a knee, and if plasma processing has an effect on the electrical functionality of components and fully assembled PCB....

Is coating adhesion a problem?

Nov 09, 2016 | Dave Selestak, Alex Berlin, and Carla Loeffler

Nordson MARCH found a solution for a manufacturer of PCBAs used in ruggedized environments. Read how plasma prior to conformal coating reduced defects and virtually eliminated coating-related failures....

19 news releases »

Nordson MARCH Introduces Plasma Treatment System with 2-Meter Depth for Improved Manufacturing of Extended Catheters and Larger Products

Jan 15, 2020 | Nordson MARCH, a Nordson company introduces the PROGENY™ plasma treatment system with a chamber that is 2 meters deep with overall dimensions of 660mm W x 2260mm D x 660mm H for plasma treatment of catheters at their full extended length. Plasma cleans and activates the surface prior to applying a lubricious coating and provides adhesive bonding of the balloon to the catheter. It removes contamination, impurities, and organics at the nanometer level and improves surface wettability, hydrophilicity, and bonding capabilities to address issues such as poor wetting, poor coating uniformity, voids, and poor adhesion.

Nordson MARCH FlexTRAK-SHS High-capacity Plasma Treatment System Provides Enhanced Automation and Production Flexibility

Apr 01, 2019 | Nordson MARCH announces the introduction of its FlexTRAK®-SHS automated plasma treatment system. The plasma system includes the 9.6-liter (585 in³) large-volume F3-S process chamber that can be configured for larger strips or can treat more strips per cycle, yielding higher throughput and increased productivity for semiconductor and electronics packaging.

Nordson MARCH Receives Vision and Innovation Awards at NEPCON China for its RollVIA Self-contained Vacuum Plasma System

May 31, 2018 | Nordson MARCH announces that it has received the VISION Award from SMT China magazine and the Innovation Award from Electronics Manufacturing (EM) Asia magazine for its new-generation RollVIA™ plasma system. The awards were presented at NEPCON China, held in the Shanghai EXPO World Center, Shanghai, China, on April 24 and 25, 2018. The RollVIA™ plasma system is used for plasma applications such as surface activation for improved adhesion, carbon removal and descum/desmear for cleaner surfaces, and etchback, which removes a slight amount of dielectric contamination between internal copper planes during printed circuit board (PCB) production. The RollVIA provides uniform plasma treatment of substrates as thin as 25 microns.

Nordson MARCH Receives NPI Award for its RollVIA Self-contained Vacuum Plasma System

Mar 07, 2018 | Nordson MARCH announces that it has received a 2018 New Product Introduction (NPI) award in the Surface Treatment category for its new-generation RollVIA™ plasma system. The system is used for plasma applications such as surface activation for improved adhesion, carbon removal and descum/desmear for cleaner surfaces, and etchback, which removes a slight amount of dielectric contamination between internal copper planes during printed circuit board (PCB) production. The RollVIA provides uniform plasma treatment of substrates as thin as 25 microns.

Nordson MARCH MesoSPHERE Plasma Systems Enable Very High Throughput Processing for 3D and Wafer-level Package Assembly

Feb 01, 2018 | Nordson MARCH introduces the MesoSPHERE™ Plasma System for very-high throughput processing of 3D and wafer-level packaging processes such as fan-in, fan-out, wafer-level, and panel-level -handling wafers up to 450mm and panels up to 480mm. The MesoSPHERE's new, patented W3 three-axis symmetrical plasma chamber ensures that all areas of the wafer are treated equally and uniformly. Tight control over all process parameters gives highly repeatable results.

Nordson MARCH Introduces the new-generation RollVIA plasma system for roll-to-roll production in PCB manufacturing operations Completely Self-Contained with Integrated Pump Package

Nov 29, 2017 | Nordson MARCH announces its new-generation RollVIA™ plasma system, a completely self-contained vacuum plasma system with production-proven, roll-to-roll material handling for flexible printed circuit board (PCB) manufacturing. The new RollVIA incorporates unique vacuum and gas flow technology, new process control technology, updated electrode designs, and superior temperature management with precise control of roll speed, tension, and edge guidance for uniform plasma treatment of substrates as thin as 25 microns. The RollVIA system is used for plasma applications such as surface activation for improved adhesion, carbon removal and descum/desmear for cleaner surfaces, and etchback, which removes a slight amount of dielectric contamination between internal copper planes during production of PCBs.

Nordson MARCH Will be Ready to Discuss Plasma Technologies at Productronica 2017

Nov 08, 2017 | Nordson MARCH will be ready to discuss plasma technologies for printed circuit board, microelectronics, and semiconductor packaging in stand A2.345/445 at Productronica, the world’s leading trade fair for electronics development and production, to be held in Munich, Germany, November 14-17, 2017.

Nordson MARCH Presents Paper at SMTA Guadalajara on Enhancing Performance of PCBAs Using Plasma Treatment

Oct 05, 2017 | Nordson MARCH will present the paper, Enhancing the Performance of Printed Circuit Board Assemblies Using Plasma Treatment, at SMTA Guadalajara 2017. David Foote, Global Applications Manager, Nordson MARCH, will discuss the fundamentals of plasma treatment. Specific applications and examples will be shown to demonstrate the many uses and benefits of plasma processing for printed circuit board assemblies (PCBAs), including managing electrostatic discharge (ESD) damage.

Revolutionary AOI, AXI and & Bondtesting from Nordson at NEPCON South China

Aug 03, 2017 | Nordson DAGE, Nordson MATRIX, and Nordson YESTECH, divisions of Nordson Corporation (NASDAQ: NDSN), will exhibit in Stand 1H20 at NEPCON South China, scheduled to take place August 29-31, 2017 at the Shenzhen Convention & Exhibition Center. Test and inspection solutions from Nordson DAGE, Nordson MATRIX and Nordson YESTECH will be demonstrated at the event.

Nordson MARCH Wins 2017 New Product Introduction Award for its ModVIA Plasma System

Mar 07, 2017 | Nordson MARCH has won the 2017 New Product Introduction (NPI) Award in the Surface Treatment Equipment category for its ModVIA™ Plasma Treatment System. The Award, sponsored by Printed Circuit Design and Fab magazine, was presented at a ceremony during IPC APEX 2017, held in San Diego, CA, on February 14, 2017.

9 more news releases from MARCH Products | Nordson Electronics Solutions »

See Your 2024 IPC Certification Training Schedule for Eptac

Void Free Reflow Soldering